[Loop is acceptable] Clock, timer, stopwatch hand, pendulum, count _A_03

0:00
00:00